解决方法一:
在Quartus II中正确设置ModelSim的路径 Tools -> Options -> General -> EDA Tool Options.(在出现的对话框中设置安装ModelSim的路径 一定要指向找到能有ModelSim.exe文件的文件夹)
解决方法二:
在刚开始建工程的时候就选择modelsim_alter,而不是modelsim.
解决方法三:
在Quartus18.1中打开assignment-sittings-simulation-more EDA settings-倒数第五个打开(一般不调也行)

Logo

旨在为数千万中国开发者提供一个无缝且高效的云端环境,以支持学习、使用和贡献开源项目。

更多推荐